Why Are Hardware Description Languages Important for Hardware Design Courses?

Why Are Hardware Description Languages Important for Hardware Design Courses?

Raghad Obeidat, Hussein Alzoubi
DOI: 10.4018/IJICTE.2021040101
Article PDF Download
Open access articles are freely available for download

Abstract

Curricula in computer engineering, computer science, and other related fields include several courses about hardware design. Examples of these courses are digital logic design, computer architecture, microprocessors, computer interfacing, hardware design, embedded systems, switching theorem, and others. In order for the students to realize the concepts taught in such courses, practical track should be reinforced along with the theoretical track. Many universities offer to their students labs in which they can practice hardware design. However, students need more than that: they need tools that enable them to design, model, simulate, synthesize, and implement hardware designs. Although high-level programming languages like Java and C++ could be an option, it might be a tedious task to use them for this mission. Fortunately, hardware-description languages (HDLs) have been specifically devised for this purpose. This paper shows some of the great features of HDLs and compare using them with using C++ for illustrating digital concepts through salient examples.
Article Preview
Top

Literature Review

The importance of incorporating hardware description languages in teaching the students of computer science and engineering the course of digital logic design and similar courses has always been emphasised by teachers and educators of the filed.

The state-of-the-art reveals several works addressing the subject in depth. For example, (Huang et al., 1997), talk about a pilot VHDL teaching resources that have been suggested to cope with the availability of VHDL tools and class educational resources that agree with the computer architecture course syllabus. In (Etxebarria et al., 2001), authors built a workbench board integrating VHDL that aids in reinforcing the theoretical knowledge of students on digital design, practise design and simulation, in addition to observe the actual behaviour of programmable logic devices (PLDs).

In (Amaral et al., 2005), the authors discuss how the state-of-the art digital logic design tools can be very useful for the students of computer science. In (Boluda et al., 2006), the authors showed how to improve active in-class engagement of students in addition to leveraging self-learning of electronic systems design, in general, and programmable logic devices (PLDs) in specific. The paper included pictures of the ALTERA UP1 and MEPUA1 boards through power point lectures available on the Internet.

Complete Article List

Search this Journal:
Reset
Volume 20: 1 Issue (2024)
Volume 19: 1 Issue (2023)
Volume 18: 3 Issues (2022)
Volume 17: 4 Issues (2021)
Volume 16: 4 Issues (2020)
Volume 15: 4 Issues (2019)
Volume 14: 4 Issues (2018)
Volume 13: 4 Issues (2017)
Volume 12: 4 Issues (2016)
Volume 11: 4 Issues (2015)
Volume 10: 4 Issues (2014)
Volume 9: 4 Issues (2013)
Volume 8: 4 Issues (2012)
Volume 7: 4 Issues (2011)
Volume 6: 4 Issues (2010)
Volume 5: 4 Issues (2009)
Volume 4: 4 Issues (2008)
Volume 3: 4 Issues (2007)
Volume 2: 4 Issues (2006)
Volume 1: 4 Issues (2005)
View Complete Journal Contents Listing